Statistics

Total rates 349
Average score 3.6
Predictions 7132
Premium Tips 5
Win rate 75%

البطولات الشهيرة

بطولات أخرى

Antigua GFC vs CSD Municipal Guatemala Liga Nacional توقعات - الرهانات ونصائح الرهان 14 Oct 2021

  • توقعات 1X2
  • تحت/فوق 2.5
  • هل سيسجل الفريقان؟
  • الهندسة
الفريق المضيف - الفريق الضيف
1
×
2
Betimate
Betimate
النتيجة الصحيحة
الأهداف المتوسطة
وكلاء المراهنات غريب
النتيجة
Guatemala Liga Nacional GLN
37
29
34
1
1 - 0
2.28
2.7
1 - 1
الفريق المضيف - الفريق الضيف
تحت
أكثر
Betimate
Betimate
الأهداف المتوسطة
وكلاء المراهنات غريب
النتيجة
60.26
39.74
تحت
2.28
1.2
الفريق المضيف - الفريق الضيف
لا
نعم
Betimate
Betimate
النتيجة الصحيحة
الأهداف المتوسطة
وكلاء المراهنات غريب
النتيجة
54
46
لا
1 - 0
2.28
2.07
الفريق المضيف - الفريق الضيف
الاحتمال في٪
Betimate
Betimate
النتيجة الصحيحة
الأهداف المتوسطة
وكلاء المراهنات غريب
النتيجة
63%
Away
0
1 - 0
2.28
1.25

بيانات إيلو ل Antigua GFC في Guatemala Liga Nacional

إيلو فوز خسارة تعادل
1622 128 92 83

بيانات إيلو ل CSD Municipal في Guatemala Liga Nacional

إيلو فوز خسارة تعادل
1646 135 80 87

احتمالية ونسب الرهان العامة

السوق
احتمالية
الرهان
فوز المضيف
37.16%
2.69
تعادل
28.49%
3.51
فوز الضيف
34.15%
2.93
أقل من 2.5 أهداف
60.16%
1.66
أكثر من 2.5 أهداف
39.64%
2.52
هل سيسجل الفريقان؟ نعم
46.06%
2.17
هل سيسجل الفريقان؟ لا
53.74%
1.86

احتمالية حسب فارق الأهداف لصالح الفريق المضيف

فارق الأهداف (منزل/خارج)
-3
-2
-1
0
1
2
3
احتمالية
3.16%
9.49%
20.49%
28.49%
21.62%
10.55%
3.72%

احتماليات حسب إجمالي الأهداف

إجمالي الأهداف
0
1
2
3
4
5
6
احتمالية
10.24%
23.34%
26.58%
20.18%
11.48%
5.22%
1.97%

تصنيف الفريقين

نقاط مباريات ملعوبة W D L الأهداف المسجلة الأهداف الخالفة +/-
1. Antigua GFC 46 22 14 4 4 36 13 23
2. CSD Comunicaciones 44 22 13 5 4 37 19 18
3. CSD Municipal 39 22 11 6 5 30 15 15
4. Malacateco 36 22 10 6 6 36 23 13
5. Sta Lucia FC 36 22 8 12 2 28 25 3
6. Xelaju 30 22 8 6 8 18 23 -5
7. Deportivo Iztapa 28 22 8 4 10 30 36 -6
8. Solola FC 25 22 6 7 9 21 32 -11
9. Guastatoya 23 22 5 8 9 18 23 -5
10. Coban Imperial 20 22 5 5 12 22 31 -9
11. CD Achuapa 16 22 3 7 12 12 24 -12
12. Nueva Concepcion 16 22 4 4 14 12 36 -24
المزيد [+]

Antigua GFC home upcoming matches

CSD Municipal away upcoming matches

Antigua GFC المباريات القادمة

CSD Municipal المباريات القادمة

  • إحصائيات
  • تشكيلات
  • تعليق حي
1
أهداف
1
52%
استحواذ الكرة
48%
6
التسديدات
9
3
على المرمى
5
3
خارج المرمى
4
3
ركنيات
5
1
ركلات جزاء
0
3
بطاقات صفراء
4
1
بطاقات حمراء
0
لا توجد بيانات
Score After Full Time - 1-1
82' - 7th Yellow Card - (CSD Municipal)
79' - 6th Yellow Card - (CSD Municipal)
69' - Race to 5 Corners - CSD Municipal
69' - 8th Corner - CSD Municipal
67' - 7th Corner - CSD Municipal
62' - 1st Red Card - (Antigua GFC)
61' - 6th Corner - Antigua GFC
59' - 5th Yellow Card - (CSD Municipal)
54' - Race to 3 Corners - CSD Municipal
54' - 5th Corner - CSD Municipal
52' - 4th Yellow Card - (Antigua GFC)
52' - 3rd Yellow Card - (Antigua GFC)
52' - 2nd Goal - (CSD Municipal) -
50' - 4th Corner - Antigua GFC
49' - 2nd Yellow Card - (CSD Municipal)
Score After First Half - 1-0
40' - Missed Penalty - Antigua GFC
31' - 1st Goal - (Antigua GFC) -
28' - 1st Yellow Card - (Antigua GFC)
21' - 3rd Corner - CSD Municipal
18' - 2nd Corner - CSD Municipal
14' - 1st Corner - Antigua GFC
Top Bookmakers
BONUS UP TO $250 - THE SMARTER WAY TO BET!
Welcome bonus up to $250

BONUS UP TO $250 - THE SMARTER WAY TO BET!

VOdds positions itself as a premier sports betting brokererage, offering users the ability to compare odds across various bookmakers through a unified single-wallet platform.